訂閱
糾錯(cuò)
加入自媒體

前沿技術(shù)探路者,英特爾2025年重回巔峰的底氣是什么?

文︱郭紫文

圖︱Intel

在2021 IEEE國際電子器件會(huì)議(IEDM)上,英特爾展示了多項(xiàng)技術(shù)突破,完整闡述了其未來技術(shù)的發(fā)展方向。12月14日,針對這些先進(jìn)技術(shù)及其應(yīng)用方向,英特爾副總裁,制造、供應(yīng)鏈和營運(yùn)集團(tuán)戰(zhàn)略規(guī)劃部聯(lián)席總經(jīng)理盧東暉博士進(jìn)行了全面的解讀。

長期以來,摩爾定律持續(xù)引領(lǐng)著半導(dǎo)體產(chǎn)業(yè)向前發(fā)展,而隨著工藝制程逐漸下探,直至觸達(dá)物理極限,新架構(gòu)、新材料、新封裝逐漸成為延續(xù)摩爾定律的新方向。據(jù)盧東暉博士介紹,英特爾組件研究團(tuán)隊(duì)是英特爾乃至業(yè)界先進(jìn)技術(shù)的探路者。這些前沿技術(shù)也是指引英特爾延續(xù)摩爾定律的武器,英特爾有信心于2025年重新奪回制程技術(shù)領(lǐng)導(dǎo)權(quán)。

持續(xù)創(chuàng)新,為延續(xù)摩爾定律注入活力

根據(jù)英特爾披露信息表明,為推進(jìn)摩爾定律延續(xù),英特爾持續(xù)創(chuàng)新和突破。IEDM 2021上,英特爾組件研究團(tuán)隊(duì)發(fā)布了三大關(guān)鍵領(lǐng)域創(chuàng)新,包括晶體管核心微縮技術(shù)、300mm硅晶圓集成氮化鎵基功率器件和硅基CMOS、硅基半導(dǎo)體量子計(jì)算。

以CPU為例,晶體管數(shù)量和密度對CPU性能至關(guān)重要。對此,英特爾提出了四點(diǎn)2.5D/3D封裝技術(shù)發(fā)展路線。EMIB技術(shù)采用2.5D嵌入式橋接方案,應(yīng)用于Sapphire Rapids處理器的封裝過程中。Foveros及Foveros Omni技術(shù)則通過高性能3D堆疊技術(shù),使die-to-die的互連和模塊化設(shè)計(jì)更加靈活。Foveros Direct技術(shù)實(shí)現(xiàn)了銅對銅鍵合和低電阻互連,將凸點(diǎn)間距縮小至10微米以下,大幅度提高3D堆疊的互連密度。在混合鍵合(hybrid bonding)技術(shù)中,化學(xué)機(jī)械拋光和沉積、介電層平面性和翹曲程度都影響著芯片鍵合的效果,憑借前沿技術(shù)應(yīng)用,英特爾將封裝互連密度提升至10倍以上。

另一方面,英特爾逐漸引領(lǐng)摩爾定律進(jìn)入埃米時(shí)代,Intel 20A節(jié)點(diǎn)將轉(zhuǎn)向GAAFET(英特爾將其稱為RibbonFET),通過堆疊多個(gè)CMOS晶體管,將邏輯微縮提高了30%至50%。針對未來微縮技術(shù),英特爾克服了傳統(tǒng)硅通道限制,使用2D材料縮短通道長度,從而提高晶體管集成度。

在功率和內(nèi)存方面,英特爾在300mm晶圓上首次集成了氮化鎵基(GaN-based)功率器件與硅基CMOS,同時(shí)采用鐵電存儲(chǔ)器(FeRAM),提供2ns低時(shí)延讀寫能力和更大的內(nèi)存資源。此外,英特爾還表示,未來量子計(jì)算等新技術(shù)將會(huì)逐步取代傳統(tǒng)MOSFET晶體管。英特爾已經(jīng)在常溫磁電自旋軌道(MESO)邏輯器件、自旋電子材料研究、300mm量子比特制程工藝流程等方面取得進(jìn)展。

打造設(shè)計(jì)、制造、封裝一體化能力

據(jù)盧東暉博士介紹,芯片制造工藝節(jié)點(diǎn)隨著摩爾定律不斷迭代,其組件密度不斷提高,現(xiàn)有功能模塊占用面積逐漸縮小,IP數(shù)量和種類增加,整體芯片成本卻在不斷降低。而當(dāng)前市場應(yīng)用需求對能效和算力需求逐漸提升,芯片封裝逐漸朝著異構(gòu)集成方向發(fā)展。這也意味著晶圓制造和封裝技術(shù)越來越重要。

在芯片制程和先進(jìn)工藝方面,英特爾在14nm時(shí)期+++的迭代升級,以及當(dāng)時(shí)10nm工藝遲遲沒有量產(chǎn),而AMD已經(jīng)推進(jìn)到7nm甚至5nm工藝。因此,英特爾一度被消費(fèi)市場戲稱為“擠牙膏”。然而,從晶體管密度方面來看,intel 10nm是可以等同于臺(tái)積電7nm的。一般而言,業(yè)界將晶體管的柵極長度作為指導(dǎo)晶圓廠命名的方法,而當(dāng)前晶圓廠工藝制程的命名規(guī)則,已經(jīng)不再具備現(xiàn)實(shí)意義。較之臺(tái)積電與三星,英特爾的命名方式又過于保守。英特爾似乎也終于意識到這一點(diǎn),今年7月,英特爾公布了全新的節(jié)點(diǎn)命名方法。

新節(jié)點(diǎn)命名方式將10nm Enhanced SuperFin更名為Intel 7,與10nm SuperFin相比,每瓦性能提升約10%至15%。而之前的Intel 7nm則更名為Intel 4,是英特爾首個(gè)完全采用EUV光刻技術(shù)的FinFET節(jié)點(diǎn),預(yù)計(jì)2022年下半年量產(chǎn)。Intel 3則取代了原來的7nm+,延續(xù)FinFET晶體管架構(gòu),量產(chǎn)計(jì)劃在2023年下半年。在3nm工藝節(jié)點(diǎn)上,三星已經(jīng)轉(zhuǎn)向了GAAFET,而英特爾和臺(tái)積電仍然堅(jiān)持在FinFET上繼續(xù)演進(jìn)。而在Intel 20A(A表示埃米“angstrom”)節(jié)點(diǎn)上,英特爾轉(zhuǎn)向了GAAFET晶體管架構(gòu),并引入了全新的PowerVia技術(shù),預(yù)計(jì)將于2024年推出。

事實(shí)上,自英特爾新任CEO帕特·基辛格上任后,已經(jīng)宣布多項(xiàng)重大戰(zhàn)略決策。他強(qiáng)調(diào),在IDM2.0時(shí)代,英特爾將致力于打造設(shè)計(jì)、制造、封裝一體化核心技術(shù)能力。在封裝方面,英特爾代工服務(wù)(IFS)結(jié)合領(lǐng)先制程和封裝技術(shù),持續(xù)為客戶交付世界級IP組合。

寫在最后

一顆芯片的誕生開始于芯片設(shè)計(jì),隨后經(jīng)過光罩制作、制造、晶片分揀、封裝測試,到最后成品出貨,這期間需要花費(fèi)大量的資金和時(shí)間成本。盧東暉博士表示,有足夠的資金和時(shí)間,任何企業(yè)都能夠?qū)崿F(xiàn)技術(shù)上的迭代,但摩爾定律說到底仍然是經(jīng)濟(jì)定律,企業(yè)要做的是縮減成本,提高市場競爭力。英特爾技術(shù)團(tuán)隊(duì)持續(xù)引領(lǐng)前沿技術(shù)進(jìn)步,為半導(dǎo)體領(lǐng)域引導(dǎo)航向,并持續(xù)為英特爾2025年重回巔峰的目標(biāo)提供驅(qū)動(dòng)力。


聲明: 本文由入駐維科號的作者撰寫,觀點(diǎn)僅代表作者本人,不代表OFweek立場。如有侵權(quán)或其他問題,請聯(lián)系舉報(bào)。

發(fā)表評論

0條評論,0人參與

請輸入評論內(nèi)容...

請輸入評論/評論長度6~500個(gè)字

您提交的評論過于頻繁,請輸入驗(yàn)證碼繼續(xù)

  • 看不清,點(diǎn)擊換一張  刷新

暫無評論

暫無評論

文章糾錯(cuò)
x
*文字標(biāo)題:
*糾錯(cuò)內(nèi)容:
聯(lián)系郵箱:
*驗(yàn) 證 碼:

粵公網(wǎng)安備 44030502002758號